您現在的位置是:首頁 > 手機遊戲首頁手機遊戲

ASML CEO:High-NA EUV將於2024年出貨,每臺價格至少3億歐元!

簡介在此次的新聞釋出會上,溫寧克表示,2024年,ASML的High-NA EUV光刻系統將首次應用於晶圓廠,計劃在2026年至2027年之間的某個時間點批次生產該裝置,並將儘可能擴大其生產能力,預計到2027-2028年,High-NA EU

日字環節鏈是什麼樣的

ASML CEO:High-NA EUV將於2024年出貨,每臺價格至少3億歐元!

11月15日訊息,綜合韓聯社、koreatimes報道顯示,全球光刻機龍頭大廠ASML執行長溫寧克(Peter Wennink)於今日在韓國首爾召開的一場新聞釋出會上表示,ASML新一代的High-NA EUV光刻機將於2024年開始發貨,每臺裝置的價格將在3億至3。5億歐元之間。

持續擴大在韓國投資

去年11月,ASML與韓國華城市簽署了一份備忘錄,宣佈投資2400億韓元(2。12億美元),於2024年前在當地打造一座16,000平方公尺的維修中心和工程師培訓中心,可容納多達1500名員工。

根據計劃,ASML韓國維修中心和工程師培訓中心專案將於明日(2022年11月16日)舉行開工儀式。為此,ASML CEO溫寧克也親自來到韓國,明日將與韓國政府官員及半導體行業人士共同參與開工儀式。在此之前,溫寧克出席了ASML於今日在韓國首爾舉行了一場新聞釋出會,介紹了ASML在韓國的發展願景。

在“技術複雜性(晶片製造)上升”之際,與韓國當地客戶密切合作“至關重要”。ASML CEO 溫寧克補充說,“在這裡設立一個培訓中心也非常重要,因為這將有助於讓技術更貼近客戶。”

ASML正在持續增加在韓國的研發投資,目標是在未來 10 年內在韓國再僱用1400 名員工。同時,ASML有望在未來幾年在韓國建設研發中心和製造工廠。溫寧克表示,建立韓國供應基地是ASML進一步發展的“重要機會”。

持續擴大產能

雖然自今年以來,由於全球經濟衰退,使得全球半導體產業也受到較大影響,再加上中美之間的貿易摩擦,也給全球半導體產業的發展帶來了不利的影響。

在今天的新聞釋出會上,溫寧克也表示,明年半導體也仍將面臨全球經濟衰退風險所帶來的困難。但是,他仍非常有信心的預測,全球半導體市場在未來十年內仍將保持平均每年9%的增長。預計到2030年,全球半導體市場將達到1萬億至1。3萬億美元。

溫寧克還以汽車市場為例介紹到,越來越多的汽車正在實現數字化,這將導致40nm至5nm晶片在汽車中的應用。儘管汽車行業主要使用傳統工藝節點製造的晶片,但預計未來也將轉向使用更先進製程的晶片。

ASML CEO:High-NA EUV將於2024年出貨,每臺價格至少3億歐元!

“市場對於我們產品的需求仍然高於我們的生產能力”,溫寧克說:“我們的客戶必須相信經濟衰退的持續時間比我們機器的平均交貨時間短。”

不久前,ASML公佈了2022年第三季度財報,銷售額和利潤均超出市場預期,新的淨預訂額也創下了紀錄。當時,溫寧克也強調,“由於包括通貨膨脹、消費者信心在內的一系列全球宏觀經濟問題,市場存在不確定性和衰退的風險。雖然我們開始看到每個細分市場的需求動態不同,但對我們系統的整體需求仍然強勁。”

為了提升ASML的交付能力,近日,ASML也宣佈了其擴產計劃,即在

2025-2026年,將EUV光刻系統和DUV光刻系統的產能分別提升到

90個

600個;

2027

-2028年將High-NA系統的

產能提高到20

個。

High-NA EUV預計2024年出貨,每臺價格至少3億歐元

在今天的新聞釋出會上,溫寧克還介紹了ASML新一代High-NA EUV光刻機的進展,預計將於2024年開始發貨,每臺裝置的價格在3億至3。5億歐元之間。

眾所周知,目前ASML是全球最大的光刻機供應商,同時也是唯一的EUV光刻機的供應商。

由於EUV光刻系統中使用的極紫外光波長(13。5nm)相比DUV 浸入式光刻系統(193 nm)有著顯著降低,多圖案 DUV 步驟可以用單次曝光 EUV 步驟代替。可以幫助晶片製造商繼續向7nm及以下更先進製程工藝推進的同時,進一步提升效率和降低曝光成本。

目前,EUV光刻機可以支援晶片製造商將晶片製程推進到3nm製程左右,但是如果要繼續推進到2nm製程甚至更小的尺寸,就需要更高數值孔徑(NA)的High-NA光刻機。

相比目前的0。33數值孔徑的EUV光刻機,High-NA EUV光刻機將數值孔徑提升到0。55,可以進一步提升解析度(根據瑞利公式,NA越大,解析度越高),從0。33 NA EUV的13nm解析度提升到0。55 NA EUV的低至8nm解析度(透過多重曝光可支援2nm及以下製程工藝晶片的製造)。

ASML CEO:High-NA EUV將於2024年出貨,每臺價格至少3億歐元!

目前,臺積電、三星、英特爾等頭部的晶圓製造廠商也正在大力投資更先進的3nm、2nm技術,以滿足高效能計算等先進晶片需求。而ASML新一代的高數值孔徑 High-NA EUV光刻機也就成為了爭奪的關鍵。

在2021年7月底的“英特爾加速創新:製程工藝和封裝技術線上釋出會”上,英特爾已宣佈將在2024年量產Intel 20A工藝(相當於臺積電2nm工藝),並透露其將率先獲得業界第一臺High-NA EUV光刻機。根據英特爾披露的資訊顯示,其還將於2024年量產更先進的Intel 18A工藝。

ASML總裁兼首席技術官Martin van den當時就表示,英特爾對ASML在High-NA EUV技術的遠見和早期承諾證明了對摩爾定律的不懈追求。

今年9月,臺積電研究發展資深副總經理米玉傑也對外表示,臺積電將在2024年取得ASML新一代High-NA EUV光刻機,為客戶發展相關的基礎設施與架構解決方案。臺積電業務開發資深副總經理張曉強則表示,2024年取得裝置後,初期主要用於與合作伙伴共同研究,尚不會量產。具體的量產將會是在2025年。

而根據ASML今年4月披露的資訊顯示,目前ASML在位於 Veldhoven 的新潔淨室中已經開始整合第一個High-NA EUV光刻系統(EXE:5000)。今年第一季度收到了多個EXE:5200系統(量產版High-NA EUV光刻系統)的訂單,今年4月還收到了額外的EXE:5200 訂單。目前,ASML收到了來自三個邏輯廠商和兩個儲存廠商的 High-NA EUV訂單。顯然,這裡提到的三個邏輯晶圓廠應該是英特爾、臺積電和三星,兩個儲存晶圓廠應該是三星和SK海力士。

ASML CEO:High-NA EUV將於2024年出貨,每臺價格至少3億歐元!

△ASML首個High-NA EUV光刻系統

雖然,三星和SK海力士尚未公開證實這一點,但訊息人士表示,他們已經向ASML下了訂單。

在今年的三季報會議上,ASML還宣佈,其第三季度的預訂量達到創紀錄的約 89億歐元,其中38億歐元是EUV系統,包括了High NA EUV系統。

在此次的新聞釋出會上,溫寧克表示,2024年,ASML的High-NA EUV光刻系統將首次應用於晶圓廠,計劃在2026年至2027年之間的某個時間點批次生產該裝置,並將儘可能擴大其生產能力,預計到

2027

-2028年,High-NA EUV光刻系統的年

產能將達到20

對於High-NA EUV光刻系統的價格,溫寧克也首次正面迴應稱,每臺裝置的價格將在3億至3。5億歐元之間。顯然這個價格非常的高昂,達到了目前在售的EUV光刻機的2倍。但是對於正在先進製程領域激烈競爭的頭部晶圓廠來說,他們別無選擇。

編輯:芯智訊-浪客劍

Top